CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 按键

搜索资源列表

  1. VHDL串口通信(Qusrtus)

    0下载:
  2. Qusrtus工程 EP2C8Q208CN.串口通信,按键显示终端Welcome,串口控制数码管
  3. 所属分类:源码下载

    • 发布日期:2011-07-24
    • 文件大小:475865
    • 提供者:kerwin666
  1. keydebounce.rar

    0下载:
  2. FPGA中按键弹跳消除模块的研究与应用,原理和例子都非常好,FPGA to eliminate bounce in key research and application modules, principles and examples are very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:230264
    • 提供者:mcuxxq
  1. vhdl4.rar

    0下载:
  2. 数字密码锁: 1.系统具有预置的初始密码“00000001”。 2.输入密码与预存密码相同时,开锁成功,显示绿灯,否则开锁失败,显示红灯。 3.具有修改密码功能。修改密码时,先开锁,开锁成功才可以修改。 4.系统同时具有关锁功能。关锁后,显示红灯。 5.密码由拔码开关表示,开锁由按键表示。 6具有一个复位按键。按键后,回到初始状态。 ,The number of locks: 1. System has preset the initial password 00000001.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:251926
    • 提供者:宫逢源
  1. VHDL

    0下载:
  2. 1、 设计一个简易电子琴。要求能演奏的音域为中音的 1 到高音的 1。 2、 用GW48-PK2中的8个按键作为琴键。 3、 GW48-PK2中有扬声器。 4、 可以使用GW48-PK2上的12MHz作为输入时钟信号。 -1, the design of a simple flower. Requirements can play for the tenor of the range of 1 to treble the 1.2, and GW48-PK2 in eight ke
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:31012
    • 提供者:朱磊
  1. key_xiaodou

    0下载:
  2. 该资料是用vhdl编写的按键消抖程序,按键消抖在使用按键的数字电路中非常重要,如果不对按键信号进行处理,有可能会出现大量错误的按键信号。文件key_xd.vhd是按键消抖程序,文件key_xd.vwf是仿真波形文件。该程序已经通过仿真测试,并且在电路板上调试通过,效果理想。-The information is written in the key consumer vhdl shaking procedures, key consumer shaking in digital circuits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:253078
    • 提供者:lwj
  1. an_jian_qu_dou_dong

    0下载:
  2. 可以用于按键去抖动的电路应用,采用vhdl编写-Button can be used to jitter circuit applications, the preparation of the use of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:168479
    • 提供者:xjl
  1. 7

    0下载:
  2. 单片机源码,实现按键时间计时兵得出平均时间。富电路图和源码-Single-chip source, time to achieve key time soldiers come to the average amount of time. Fu schematics and source code
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:59297
    • 提供者:luoyouhao
  1. s6_unjounce

    0下载:
  2. xilinx3s400开发板厂家光盘源码。按键防抖动-xilinx3s400 source development board CD-ROM manufacturers. Button防抖动
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:105986
    • 提供者:张超
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
  1. an_jian_qu_dou_dong

    0下载:
  2. 基于FPGA实现的按键去抖动电路设计,解决了按键抖动的问题-abcdefjgajgasg
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:169851
    • 提供者:电子时钟
  1. Led

    0下载:
  2. 本程序有效的防止了按键的抖动,可以移植于各种需要按键防抖的程序,本程序是功能为按键防抖16进制减法计数器-debounced counter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:288786
    • 提供者:hide tyou
  1. DIP_PB_Counter

    0下载:
  2. 本程序有效的防止了按键的抖动,可以移植于各种需要按键防抖的程序,本程序是功能为按键防抖16进制减法计数器-This procedure prevents the effective jitter keys can be transplanted into a variety of procedures need to Anti-Shake button, the program is anti-shake function for the key 16 counter-band subtract
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:202316
    • 提供者:hide tyou
  1. elec_lock

    0下载:
  2. 电子钟源文件,显示时分秒星期,按相应按键,可以对时分星期加1调整时间-钟源electronic documents to show the weeks when the minutes and seconds, press the corresponding button, you can adjust the hours of time plus 1 week
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:482415
    • 提供者:蔡宇佳
  1. debounce

    0下载:
  2. 按键消抖程序,用Verilog硬件描述语言编写,实现了按键消抖动作-Buffeting eliminate key procedures, using Verilog hardware descr iption language, the realization of the keys for jitter elimination
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-30
    • 文件大小:121617
    • 提供者:liujiani
  1. EXP4_sec

    0下载:
  2. 秒表 4个7数码管中的任何一个显示任意按键按下的次数。初始值为0,当计数到9时,下一次数值为0。利用Verilog HDL语言,编程实现上述功能。-Stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:504335
    • 提供者:dsds
  1. qiduan

    0下载:
  2. 用vhdl语言实现按键操控多个七段码控制-Vhdl language with control buttons to control a number of Seven-Segment Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:142131
    • 提供者:邢旭
  1. example6

    0下载:
  2. 使用 key1 和 key2 来控制数据的加减,通过显示可以看出数据的变化。key1是控制数据加,key2 是控制数据减。可以从 0~9 显示。其中有按键消抖的方法-Key1 and key2 to control the use of the data increases or decreases can be seen by showing the data changes. key1 is to control the data increases, key2 is to control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27255
    • 提供者:panda
  1. VHDL(LOCK)

    0下载:
  2. 数字密码锁的设计与实现 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习数字密码锁的设计 二.实验内容 设计一个数字密码锁,对其编译,仿真,下载。 数字密码锁具体要求如下: 1.系统具有预置的初始密码“00000001”。 2.输入密码与预存密码相同时,开锁成功,显示绿灯,否则开锁失败,显示红灯。 3.具有修改密码功能。修改密码时,先开锁,开锁成功才可以修改。 4.系统同时具有关锁功能。关锁后,显示红灯。 5.密码由拔码开关表
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:18105
    • 提供者:爱好
  1. vhdl按键检测

    0下载:
  2. 基于vhdl的按键检测程序。可以有效消除抖动(vhdl key dectect program)
  3. 所属分类:通讯编程

    • 发布日期:2018-04-22
    • 文件大小:5762048
    • 提供者:Mr.zeal
  1. 按键去抖电路VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4,利用Xilinx ISE软件,利用VHDL软件编写按键去抖电路,包含实验说明以及代码实现VHDL.doc文件,UCF管脚绑定文件(In the FPGA:Spartan-3E development board series, XC3S500E, package: FGT320, speed -4, using Xilinx ISE software, write the debounce cir
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:29696
    • 提供者:lixilin
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com